作业帮 > 综合 > 作业

VHDL中,在process中的if(clk'event and clk='1')语句之间是并行进行的么?

来源:学生作业帮 编辑:灵鹊做题网作业帮 分类:综合作业 时间:2024/05/08 01:49:03
VHDL中,在process中的if(clk'event and clk='1')语句之间是并行进行的么?
比如process(clk)
begin
if(clk'event and clk='1')then
.
end if;
if(clk'event and clk='1')then
.
end if;
end process;
上面两个 if(clk'event and clk='1')then之间是并行执行的么?
VHDL中,在process中的if(clk'event and clk='1')语句之间是并行进行的么?
不是的,在process里的语句是顺序执行的.process之间是并行的.
再问: 对我我这段程序,时钟的上跳沿是一个时刻,当第一个 if(clk'event and clk='1')then 执行完了,到第二个 if(clk'event and clk='1')then 时,上跳沿还有效么? 也就是说在一个process内能执行两个判断上跳沿的if语句么?
再答: 最好不要这样,放在一个if语句里就行啦